Home Hardware Networking Programmazione Software Domanda Sistemi
Conoscenza Informatica >> Programmazione >> Computer Programming Languages >> .

Un tutorial per FPGA Altera

Altera fornisce il software Quartus II per schede FPGA programma, noto anche come array field-programmable gate . FPGA in grado di dimostrare i principi di ingegneria informatica di base e istruttori di ingegneria informatica spesso utilizzare i progetti FPGA Altera e di insegnare progetti semplici , quali indicatori a LED a sette segmenti . Software di Altera Quartus II consente di creare i diagrammi a blocchi logici e il codice VHDL . È inoltre possibile utilizzare i diagrammi o al codice per creare progetti come contatori e altri tipi di circuiti logici . Software Istruzioni
1

Aperto di Altera Quartus II e creare un nuovo progetto facendo clic su "File" nella barra del menu in alto e cliccando su " Creazione guidata nuovo progetto . " Fare clic su "Avanti" e selezionare una directory in cui creare il progetto . Assegnare al progetto e l'entità di progettazione di un nome e fare clic su "Fine" per creare il progetto .
2

Clicca " Assegnazioni " e selezionare "Device ". Selezionare la famiglia per il dispositivo FPGA e selezionare il nome del dispositivo dalla casella a discesa. Fare clic su " OK" per assegnare il dispositivo specificato.
3

Creare un file di schema a blocchi facendo clic su " File ", quindi facendo clic su "Nuovo" e selezionando " Block Diagram /​​Schema del file . " Dare un nome al file e fare clic su " OK" per salvare il file .

Creare un file VHDL facendo clic su "File" e "Nuovo" e selezionando " File HDL Verilog . " Dare quel file un nome e fare clic su " OK" per salvare . Aggiungere il codice VHDL per la progettazione.
4

Aggiungi simboli logici nel file di schema a blocchi per creare il vostro schema . Fare clic su "Modifica" e fare clic su " Inserisci simbolo " per aggiungere diversi tipi di simboli .
5

Rinominare le assegnazioni dei pin nei simboli per abbinare l'hardware per l'FPGA . Fare clic su "Elaborazione ", selezionare " Start" e cliccare su " Analisi e Elaborazione Start" per tirare il progetto insieme al disegno e assegnare i pin . Fare clic su " Assegnazioni " e fare clic su " pin " per aprire la finestra di dialogo in cui è possibile assegnare ad ogni pin dalla progettazione i valori della scheda .
6

Fare clic su " Avvia compilazione" e salvare le modifiche al tuo progettazione . Fare clic su "Strumenti" dalla barra dei menu in alto e cliccare su " Programmer" per inviare il disegno al dispositivo FPGA . Collegare il dispositivo al computer utilizzando il cavo USB e posizionare l'interruttore sul dispositivo per l'impostazione " Esegui" . Accendere la scheda FPGA e fare clic su "Start" dal software Quartus II per scaricare il programma sul dispositivo .

 

Programmazione © www.354353.com