Home Hardware Networking Programmazione Software Domanda Sistemi
Conoscenza Informatica >> sistemi >> Competenze informatiche di base >> .

Come convertire binario Mux di One Hot

linguaggio binario è usato in programmazione di computer per creare software o codice di applicazioni per Windows Visual Basic e il sistema operativo Windows . Vettori binari possono avere valori multipli che possono essere fonte di confusione e di portare a diverse finestre di codifica sul computer . Se si desidera convertire i vettori binari in un unico set di input a caldo , è possibile farlo con un codice attraverso il terminale o prompt dei comandi del computer. Una volta attuato, si sarà in grado di convertire il vettore binario mux in una hot line . Istruzioni
1

Aprire il terminale o prompt dei comandi tramite la lista " Programmi " sul pulsante "Start "
2 Tipo

: .

Libreria IEEE , utilizzare ieee.std_logic_1164.all ; uso ieee.numeric_std.all ;
entità

onehot_binary isport ( onehot_in : in std_logic_vector ; binary_out : fuori std_logic_vector ) ; beginassert 2 ** binary_out'length = fallimento gravità onehot_in'length ;

fine ;

architettura rtl di onehot_binary è

funzione one_hot_to_binary ( One_Hot : std_logic_vector ; dimensioni : naturale ) std_logic_vector ritorno è

variabile Bin_Vec_Var : std_logic_vector ( size- 1 downto 0 ) ;

iniziare

Bin_Vec_Var : = ( altri = > '0 ');

perché io in One_Hot'range loopif One_Hot ( I) = '1 ' thenBin_Vec_Var : = Bin_Vec_Var o std_logic_vector ( to_unsigned ( I, calibro ) ) ; end if ; end loop ; Bin_Vec_Var ritorno ; funzione fine ;

cominciano

binary_out < = one_hot_to_binary ( onehot_in , binary_out'length ) ;

fine ;

3 Premere il tasto " Enter " per sostituire le muxes binari sul vostro sistema per un ingresso di piombo caldo < . br>

 

sistemi © www.354353.com