Home Hardware Networking Programmazione Software Domanda Sistemi
Conoscenza Informatica >> Programmazione >> Computer Programming Languages >> .

Come creare un semplice trasmettitore seriale UART in Verilog HDL

I più Universal Asynchronous Receiver Transmitter ( UART) che ho trovato on-line , sono troppo complicato e difficile da capire , qui vi spiegherò un po 'di teoria semplice e anche il codice su come costruire uno. Cose che ti serviranno
Xilinx ISE
Mostra più istruzioni
1

primo luogo permette di parlare di come i trasmettitori funzionano. Il principio di base è quella di inviare più bit di dati su una singola linea . . Nel nostro trasmettitore , questo dato è in parallelo , tuttavia per ridurre il numero di cavi necessari , usiamo la comunicazione seriale che viene convertito in parallelo alla fine la ricezione

Le cose di base di cui abbiamo bisogno sono i seguenti :

1 . Un divisore di clock ( nostro contatore ) per

Questo ci permette di inviare dati ad una certa velocità ( baud rate ) . Il nostro ricevitore assaggiare e prendere il bit di dati per bit.

2 . Un registro a scorrimento

Abbiamo bisogno di sapere quanti bit alla volta stiamo trasmettendo , il nostro registro di scorrimento avrà un bit di start , bit di dati , bit di parità ( opzionale ) , bit fine .

3 . Uno stato diagramma

Prima scrivendo tutto il codice , dobbiamo pensare a quello che ci serve per creare e il modo migliore è la creazione di un diagramma di stato del nostro design . Sappiamo per un trasmettitore abbiamo bisogno di 2 stati, IDLE e trasmissione .
2

Dopo aver un diagramma di stato , ora possiamo calcolare ciò che il nostro contatore sarà. Ciò viene fatto utilizzando :

contatore = FPGA velocità di clock /baud rate

In questo esempio io uso una velocità di trasmissione di 9600 , facendo il mio contatore = 5208
< . br> 3

Ultimo , calcoliamo registrare il nostro turno e finire di scrivere la nostra macchina dello Stato . Nel mio codice di esempio io uso un bit di start , bit di parità , 8 bit di dati e bit di fine . Questo è totale 11 bit .

Entrambe le immagini in fase 2 e 3 insieme nello stesso modulo di completare il nostro codice del trasmettitore richiesto per programma alla FPGA . Questo codice funziona con un altro FPGA collegato in serie o con HyperTerminal di Windows tra gli altri componenti hardware . Possono essere facilmente modificabile a lavorare con molta più .
4

Ultimo , creiamo un dispositivo di prova per correggere eventuali errori e simulare il programma prima di programmare la FPGA .

Questo conclude il trasmettitore . Lasciare commenti se avete domande o sentire prega c'è qualcosa che manca qui .

 

Programmazione © www.354353.com